Abstract

Filter bank multicarrier (FBMC) is one of the effective candidates for the fifth generation of wireless communication networks. 5G (5th-generation wireless systems) is accepted as the next major stage of mobile telecommunication technology. The extent of 5G will be expanded mobile broadband services to next-generation automobiles and connected machines. In particular, filter bank multicarrier with offset quadrature amplitude modulation (FBMC/OQAM) is determined as the future generation 5G air interface by researchers recently. Filter bank multicarrier (FBMC) is admitted as one of the alternative technologies for multicarrier modulation. Compared to orthogonal frequency-division multiplexing (OFDM), FBMC has better spectrum shape and supports mobility. Therefore, efficient hardware implementations have highly interested researchers. Cyclic prefix (CP) and guard band are used for orthogonal frequency-division multiplexing (OFDM) and this causes loss of spectral efficiency, but FBMC applications do not need CP and guard band. Due to the fact that FBMC has offset QAM (OQAM) and band-limited filtering features on each subcarrier, the need for CP and guard band is eliminated. In this paper, novel pipelined hardware architecture of the filter design of FBMC/OQAM modulator has been proposed.

1. Introduction

Ubiquitous connectivity and seamless service delivery in all conditions are expected from next-generation mobile communication systems. A large diversity of communication scenarios and characteristics will occur due to a number of devices and the coexistence of human-centric and machine-type applications. Many advanced communication techniques that are typically suitable for a subset of the foreseen communication scenarios are investigated [1]. However, most of the studied and analysed new communication techniques are often taking into account mainly the quality of the communication link at the algorithmic level. Although this is admitted as one of the main performance indicators, the related hardware and the need for energy efficiencies increase for future mobile technologies. Therefore, obtained efficient and optimized hardware structure has high interest for validation of performance and concept proof.

Recent research projects include FBMC modulation technology that is studied and considered nowadays for the next-generation flexible 5G air interface. The specification of the initial 5G New Radio (NR) was finished in June 2018 and published in the 3GPP Release 15 specification. Nowadays, network equipment vendors, network operators, semiconductor vendors, and device manufacturers develop new products that implement the new standard by a variety of industry players. In the Internet of Things (IoT) where large numbers of smart devices communicate over the Internet, the growth in requested wireless broadband that can carry video and other rich-content services are major expectations of 5G. To reach these aims, extreme broadband speed, ultralow latency, and ultrareliable web connectivity are provided by 5G [2].

FBMC has better spectral properties compared to the traditional orthogonal frequency-division multiplexing (OFDM) modulation and obtains improved mobility support [3].

FBMC/OQAM has several times more complex features than OFDM when we considered the complexity of computation and hardware. This complexity that is shown in recent research can be reduced approximately two times at the transmitter side [4].

Fifth-generation (5G) communication systems need more efficient use of the electromagnetic spectrum because of increased demands in terms of data rates and system capacity, as well as the increasing service heterogeneity.

Recently, orthogonal frequency-division multiplexing (OFDM) is the most widely used waveform and becomes dominant in 4G systems because of agility of spectrum, simple selectivity of frequency through the simple activation/deactivation of the interested subcarriers, efficient implementation via FFT/IFFT blocks, and straightforward integration with MIMO systems [5].

OFDM is considered to be a strong waveform candidate for 5G due to these features. However, OFDM affects spectral efficiency negatively because of its rectangular pulse shape, high out-of-band (OOB) emissions, and the necessary use of a cyclic prefix (CP). So researchers proposed alternative waveforms to reduce deficiency of OFDM [6]. Filter bank multicarrier with offset quadrature amplitude modulation (FBMC/OQAM) is one of these 5G waveform candidates [7]. In this waveform, parallel symbol groups are transmitted by a bank of modulated filters. The real-part orthogonality between symbols is obtained by using OQAM instead of QAM. Compared to OFDM, FBMC-OQAM has a complex higher transceiver, better performance on OOB emission, and robustness on carrier frequency offset [8] with no CP, which also effects positive spectral efficiency.

In literature, a wide range of possible services and applications of many objects are realized via communicating many objects with each other and with human beings. However, this state gets hard with network requirements. The Internet of Things (IoT) promised to change the way technology is experienced in daily life. IoT combines wired and wireless communication technologies, sensors, and actuators that allow users to control and monitor objects through the Internet. In recent publications, several kinds of modulation have been proposed to address the challenges in 5G physical layers. One of these modulations is filter bank multicarrier (FBMC) based on pulse shaping [912]. FBMC is admittedly a strong candidate of next-generation communication system for 5G and IoT. Prototype filter of FBMC is designed using various methods such as convex optimization [13], genetic algorithm [14], and other new techniques [15, 16]. FBMC/OQAM has been heavily studied as a hopeful technique in future wireless communication due to its enhanced robustness to synchronization requirements, higher spectrum efficiency, and better frequency localization compared to CP-OFDM. FBMC/OQAM is only orthogonal in the real field unlike CP-OFDM and suffers from intrinsic imaginary intercarrier and intersymbol interference, which makes accurate channel estimation remain a challenge in FBMC/OQAM systems. Channel estimation is of great importance for the success of the information transmission process and is taken into consideration in the studies [1719].

In this brief, we propose a novel pipelined low-complexity hardware implementation of the FBMC/OQAM modulation; in literature, no hardware design was yet proposed targeting a reduced complexity. Results show the advantages of the proposed design with respect to other FBMC designs, reducing the gap to OFDM. In this paper, we propose to implement and validate PHYDYAS prototype filter that is recently introduced in FBMC-based waveform for 5G mobile communication.

2. Materials and Methods

2.1. OFDM

In the OFDM technique firstly, data bits to be transmitted are modulated to create complex in-phase and quadrature components of . is known as maximum modulated QAM symbols that correspond to active subcarrier numbers of OFDM. To generate a block of complex samples in the time domain, an inverse fast Fourier transform (IFFT) with length is computed as seen in Figure 1. Then, we pad unused subcarriers with zero at the input of the IFFT. The modulated baseband OFDM in the discrete-time domain is written as

The overall number of subcarriers is represented with , and indicates complex-valued data symbols obtained with a QAM constellation at subcarrier index and block index . The complex output of the OFDM modulation is demonstrated with . In the conventional OFDM [20], a cyclic prefix (CP) is used to counteract multipath fading. Also, the CP is inserted at the beginning of the OFDM block to cancel interference of intersymbol caused by the spread of the delay at the multipath channel with remarkable cost in spectral efficiency.

The QAM mapper is the first unit of the proposed OFDM modulator architecture and uses a lookup table (LUT), supporting up to 64-QAM, as pointed out in the Long-Term Evolution (LTE) standard. The designed IFFT architecture uses the decimation in frequency (DIF) decomposition which lasts with output samples in bit reversal order [21]. The insertion of the cyclic prefix and the reordering operation are made jointly to avoid latency overhead and additional memory usage. Also, OFDM modulator block structure and OFDM demodulator block structure are given with Figures 2 and Figures 3, respectively.

In Figure 2, OFDM symbol mapping follows IFFT block and parallel to serial conversion follows CP insertion. So modulated complex output of the OFDM symbols is demonstrated with . In Figure 3, the modulated OFDM symbols are demodulated; first, CP is removed from the modulated OFDM symbols and then serial to parallel conversion follows FFT block. After FFT operation, demodulated OQAM symbols are obtained.

2.2. FBMC

In FBMC/OQAM modulation, the main components of OFDM are used with two main differences as seen in Figures 1 and Figure 4. Then, to provide the neighbors of a subcarrier in quadrature, the components are multiplied by . The obtained OQAM mapper obtains orthogonality in the real domain.

The second step is a filtering process through the presentation of a polyphase network (PPN) after IFFT. Thanks to PPN, without the use of a cyclic prefix, a better time and/or frequency localization is provided. The mentioned localization regards the used prototype filter’s length and shape. In literature, the PHYDYAS filter [22] is presented with its good frequency localization properties, whereas TFL1 [23] filter is known for its good timing localization. OQAM processing traces IFFT and PPN units theoretically. In the end, the two outputs are added correspondingly to reconstruct the signal to be transmitted through the channel. The discrete-time FBMC-OQAM modulator output is given in equation (2) considering the length of the prototype filter, , natural number.

In equation (2), describes the overall number of subcarriers; describes the prototype filter of length . In equations (3) and (4), the delay of the filter causes phase component and is symbolized with . In Figure 4, each of these equations is illustrated by a processing unit.

FBMC modulation technique has been proposed as a strong 5G waveform candidate because of its better spectral efficiency and lower out-of-band emissions compared to OFDM modulation technique.

In Figure 5, the FBMC/OQAM transmitter structure is illustrated and the transmitter uses the pruned IFFT algorithm to reduce the computational complexity [24]. The scheme shows to only calculate half of the symbols by taking advantage of the relation between the IFFTM outputs; after that, the remaining samples are deduced. This correlation which depends on the length of the prototype filter exists through the real-valued samples.

The prototype filter controls phase, amplitude distortions, and interference between subchannels. If the prototype filter is in a linear phase, phase distortion is eliminated. In principle, frequency response must meet the Nyquist criterion for data transmission. Amplitude distortion creates intersymbol interference in the receiver. However, some flexibility may be provided if a subchannel equalizer is used. One important feature of digital transmission is delay. A general delay budget is allocated to the system and shared by the various functions in the transmitter and receiver. Major contributions come from modulation, optimal detection, and error correction. The prototype filter can be designed with the help of classical optimization techniques [5]. However, given that the length can reach several thousands, it has been shown that a direct technique seems suitable, at least as a starting point, and that the method is particularly relevant. In the end, it is shown that the technique can be interpreted as a frequency domain technique [2527]. is an integer and indicates the number of samples; in the frequency domain

In general, the number of channels is even. The Nyquist criterion is met by these frequency samples and the corresponding filter coefficients () are obtained by inverse DFT (discrete Fourier transform). Since the number of coefficients must be a single number, the coefficient can also be omitted. Coefficients of the prototype filter can be computed with equation (9), considering equation (5), (6), (7), and (8).

PHYDYAS filter coefficients are obtained from equation (9) given with Table 1 for different overlap factors .

3. Proposed FBMC/OQAM Architecture

Figure 6 illustrates the proposed FBMC/OQAM modulation diagram for MATLAB, ModelSim, and Vivado designs. First are all modulator parameters such as a number of subcarriers , constellation size (QPSK to 64-QAM), prototype filter, and quantization configure in the MATLAB software model to indicate specific FBMC modulation application scenario. Then, we execute the software model.

As the second step, when the simulation is completed, the input and output samples obtained from simulation are stored in files. The files include reference vectors for the hardware simulation. In addition, we obtain the VHDL packages using MATLAB classic print function.

As the third step, the hardware simulation is realized with ModelSim simulation using a simple specific script. The simulation program compiles all the VHDL sources and automatically produced testbench parameters and shows the waveform of the signal.

For the fourth step, we record output samples generated from the hardware simulation into a file and a comparison is realized with the recorded output samples and in the testbench with the generated reference output from the software model.

In the last step, we use the output samples from the hardware simulation to plot figures like power spectral density for proving and comparison purposes. Also, the VHDL codes are compiled, synthesized, implemented in Vivado 2017.4, and realized on-board validation and demonstration with a Xilinx ZedBoard featuring an xc7z020clg454-1 FPGA board.

The simulation was firstly carried out in ModelSim, and the obtained results are given in Figures 7 and 8.

The prototype filter of FBMC is designed in MATLAB; after that, the designed filter is realized for hardware simulation in ModelSim. In Figure 7, the analog input, output, and clock signal of the filter of FBMC are illustrated with adjusted analog signal format in ModelSim. The digital input, output, and clock signal of the filter of FBMC are illustrated with adjusted analog signal format in ModelSim and given in Figure 8.

After ModelSim simulation, Vivado codes are realized with HDL language, and in Figure 9, schematic of PHYDYAS prototype filter for FBMC is obtained from hardware application.

A representation of the PHYDYAS prototype filter of FBMC designed above with hardware fittings is shown with Figure 9. These hardware fittings include a complex structure with 45 cells, 25 I/O ports, and 75 nets which are designed with D-type flip flop, RTL multipliers, RTL adders, and RTL registers.

In the PSD figure of OFDM, the value of PSD changes between -40 dB and -180 dB as seen in Figure 10, whereas in Figure 11 the PSD diagram of FBMC with PHYDYAS prototype filter indicates almost -180 dB value of PSD.

Overlapped symbol and selected in our design and power spectrum density are plotted under these limitations. The PSD of OFDM is illustrated in Figure 10, and the PSD of FBMC is illustrated in Figure 11.

4. Results and Discussion

The output of the ModelSim for the filter that is used for FBMC known as PHYDYAS filter in literature is given in Figure 7. Firstly, a MATLAB code is executed for FBMC structure; then, this MATLAB code transforms to Verilog or VHDL code for hardware applications. We also realized simulation results of hardware applications in this paper, and the hardware setup of the proposed application is given in Figure 12.

The FBMC-OQAM system is implemented on a Xilinx ZedBoard featuring an xc7z020clg454-1 FPGA. Results on resource utilization after place and route are presented for an implementation running at 220 MHz [2835].

In this paper, we evaluate designs in terms of resource utilization, processing throughput, and total power. Resource utilization is a measure of how much we use the capacity of the FPGA board.

In Table 2, a comparison is presented between the proposed PPN-FBMC and reference work [4]. The proposed design used 512 as the number of FFT size, and the compared work used the same FFT size. In addition to the full resource utilization given in Table 2, OQAM mapper, IFFT core, and prototype filter analysis of the resource utilization are done and shown in Tables 35, respectively. In the reference work, the number of FFT is selected the same as the proposed work but LUT utilization and FF utilization are finer in the proposed design. Estimation of dynamic power consumption is performed for the implemented design using Xilinx Vivado 2017.4 Power Analyser. Compared to the reference work, the proposed design exhibits almost less 40% of total power because of the used newer version of Xilinx Vivado in [4]. Also, the designs with different clock frequencies deeply impact dynamic power consumption.

Considering Table 3 for OQAM resource utilization, the proposed designs exhibit less 29% of FFs compared to the reference work but obtain almost the same LUT utilization.

Moreover, in Table 4 [4], the used IFFT with a pruning algorithm requires some extra logic units, and also, the overall FF usage is impacted by this reason. DSP utilization of IFFT cores has a similar degree compared to the other utilization parameters. Generally, the differences of DSP usage arise from different prototype filter implementations; in this work and the reference work, the same PPN prototype filters are used. But in the proposed work, PHYDYAS prototype filter with 4 taps is used, so our design shows better performance compared to the reference work.

Apart from FBMC modulation designs that are given in the literature, we realized FBMC demodulation at the receiver side of FBMC structure. The obtained hardware numerical results are given in Table 6.

In FBMC demodulation, resource utilization indicates that LUT, FF, DSP, BRAM, and total power are higher than FBMC modulation step. This situation shows that the demodulation step is more complex than modulation.

5. Conclusions

This paper proposes a new FBMC/OQAM modulation design and the hardware prototyped new waveform experience of an advanced communication system for the 5G air interface. In this paper, an FPGA-based implementation for a PPN-FBMC-OQAM baseband modulator and demodulator is presented and implemented on MATLAB, ModelSim, and Avnet ZedBoard (xc7z020 FPGA core) for software and hardware application and validation. The overlapping factor considered was 4 and the number of subcarriers was set to 512 for useful validation purposes.

Data Availability

The data that support the findings of this study are available from the authors upon reasonable request.

Conflicts of Interest

The authors declare that they have no conflicts of interest.